看过本文的还看了

相关文献

该作者的其他文献

文献详情 >基于Chisel语言的RISC-V处理器设计技术 收藏
基于Chisel语言的RISC-V处理器设计技术

基于Chisel语言的RISC-V处理器设计技术

作     者:娄冕 张海金 杨靓 刘思源 赵亮 LOU Mian;ZHANG Hai-jin;YANG Liang;LIU Si-yuan;ZHAO Liang

作者机构:西安微电子技术研究所陕西西安710076 

出 版 物:《微电子学与计算机》 (Microelectronics & Computer)

年 卷 期:2021年第38卷第3期

页      码:51-55页

摘      要:近年来,RISC-V在处理器领域的大行其道,不仅仅在于其开源可扩展的指令集架构属性,同时也得益于加州大学伯克利分校为其量身打造的敏捷化设计语言Chisel,极大降低了处理器设计门槛.本文基于Chisel语言设计实现了一款带有扩展指令协处理器的多核RISC-V芯片,相对于传统的硬件设计语言,将硬件IP的设计与集成周期压缩50%以上,并且依靠丰富的模板资源,能够快速完成拓扑互连、时序分割、跨时钟域转换等影响处理器整体性能的全局性优化设计,将芯片验证与实现的迭代周期缩短30%以上,为开源处理器敏捷化开发探索了行之有效的技术手段.

主 题 词:Chisel RISC-V 处理器 敏捷化 

学科分类:080903[080903] 0809[工学-计算机类] 08[工学] 

D O I:10.19304/j.cnki.issn1000-7180.2021.03.009

馆 藏 号:203102361...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分