看过本文的还看了

相关文献

该作者的其他文献

文献详情 >用VHDL实现数字时钟的设计 收藏
用VHDL实现数字时钟的设计

用VHDL实现数字时钟的设计

作     者:刘竹林 李晶骅 Liu Zhu-lin;Li Jing-hua

作者机构:十堰职业技术学院电子工程系湖北十堰442000 

出 版 物:《山西电子技术》 (Shanxi Electronic Technology)

年 卷 期:2008年第1期

页      码:47-48,54页

摘      要:以一款数字钟设计为例,较详细的介绍了如何用VHDL语言设计数字电路,并给出了部分程序、仿真波形图,并在MAX+plusII中进行编译、仿真、下载。由此说明利用VHDL开发数字电路的优点。

主 题 词:VHDL 设计 数字钟 应用电路 

学科分类:080904[080904] 0810[工学-土木类] 0809[工学-计算机类] 08[工学] 081105[081105] 081001[081001] 081002[081002] 0825[工学-环境科学与工程类] 0811[工学-水利类] 

馆 藏 号:203117042...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分