看过本文的还看了

相关文献

该作者的其他文献

文献详情 >用于高速高精度模数转换器的16Gb/s串行接口发射机电路 收藏
用于高速高精度模数转换器的16Gb/s串行接口发射机电路

用于高速高精度模数转换器的16Gb/s串行接口发射机电路

作     者:韩佳利 任佳佳 裴磊 李楠楠 齐欢欢 王金富 张杰 张鸿 HAN Jiali;REN Jiajia;PEI Lei;LI Nannan;QI Huanhuan;WANG Jinfu;ZHANG Jie;ZHANG Hong

作者机构:西安交通大学微电子学院西安710049 西安航天民芯科技有限公司西安710076 

基  金:国家自然科学基金资助项目(62174149) 国家重点研发计划资助项目(2022YFC2404902) 

出 版 物:《西安交通大学学报》 (Journal of Xi'an Jiaotong University)

年 卷 期:2024年第58卷第9期

页      码:173-182页

摘      要:针对高速高精度模数转换器(ADC)中的高速串行接口(SerDes)发射机电路面临的信道损耗、噪声、串扰、工艺波动等非理想因素,提出了一种符合传输接口JESD204B协议要求的高速串行发射机电路结构,综合使用匹配阻抗校准、前馈均衡(FFE)和T-coil等技术来改善数据传输质量。对于现有半速率发射机结构对时钟占空比较为敏感的问题,设计了时钟占空比校准电路来稳定输出时钟的占空比。另外,文中所采用的多支路并联的源串联终端(SST)驱动器架构,有效地实现了匹配阻抗校准与前馈均衡方案的结合,大幅减小了电路复杂度和面积占用,显著降低了发射机功耗。提出的发射机电路采用28 nm CMOS工艺设计并流片,实测结果表明,在16 Gb/s的传输速率下,输出信号眼高为811 mV、眼宽约为58.8 ps,总抖动为7.35 ps,发射机功耗约为49.2 mW,能效比为3.07 pJ/bit,电路版图面积约为300×150μm^(2)。在满足协议要求的前提下,该发射机在抖动性能、能效和电路面积上具有显著优势。

主 题 词:发射机 阻抗校准 占空比校准 T-coil 源串联终端 

学科分类:080903[080903] 0809[工学-计算机类] 08[工学] 

核心收录:

D O I:10.7652/xjtuxb202409017

馆 藏 号:203140542...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分