看过本文的还看了

相关文献

该作者的其他文献

文献详情 >基于SoC的信号跨时钟域传输验证方法研究 收藏
基于SoC的信号跨时钟域传输验证方法研究

基于SoC的信号跨时钟域传输验证方法研究

作     者:王鹏 尤然 刘旭红 范毓洋 田毅 Wang Peng;You Ran;Liu Xuhong;Fan Yuyang;Tian Yi

作者机构:中国民航大学民用航空器适航审定技术与管理研究中心天津市民用航空器适航与维修重点实验室天津300300 中国民航大学适航学院天津300300 

基  金:中国民航局民航联合研究基金资助(U1533105) 天津市自然科学基金联合资助项目(15JCQNJC42800) 中央高校基本科研基金(3122017090) 

出 版 物:《电子技术应用》 (Application of Electronic Technique)

年 卷 期:2017年第43卷第12期

页      码:29-32页

摘      要:在SoC信号跨时钟域传输时,有可能会产生亚稳态等问题。到目前为止,对信号跨时钟域传输还没有一套完整且通用的验证方法。因此,在传统SoC设计和验证仿真工具的基础上,形成了关于信号跨时钟域传输的一整套验证方法。其中包括CDC结构分析、基于断言的CDC协议验证、亚稳态注入分析三部分。通过此套方法可以在设计初期发现设计中的缺陷,提高设计的可靠性。

主 题 词:亚稳态 跨时钟域 验证 

学科分类:080903[080903] 0809[工学-计算机类] 08[工学] 

D O I:10.16157/j.issn.0258-7998.172154

馆 藏 号:203280327...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分