看过本文的还看了

相关文献

该作者的其他文献

文献详情 >基于FPGA的步进电机驱动及自动聚焦的实现 收藏
基于FPGA的步进电机驱动及自动聚焦的实现

基于FPGA的步进电机驱动及自动聚焦的实现

作     者:张文爱 李逢磊 程永强 ZHANG Wen Ai;LI Feng Lei;CHENG Yong Qiang

作者机构:太原理工大学信息工程学院山西太原030024 

出 版 物:《电子技术应用》 (Application of Electronic Technique)

年 卷 期:2008年第34卷第5期

页      码:31-33页

摘      要:基于Xilinx公司的Spartan-IIE系列FPGA XC2S300E-6-PQ208C完成了一种聚焦马达驱动及自动聚焦的完整设计。该设计接收CCD图像传感器套件输出的图像信号,采用一种改进的灰度差分法作为聚焦评价函数,即将一场图像的所有像素的亮度值与周围像素的亮度值差的绝对值的和作为聚焦评价函数,根据相邻同场的聚焦评价函数的比较结果,配合相应的搜索策略来控制步进电机的转动方向,实现图像的自动聚焦。实际实现的聚焦效果优于改进前的聚焦算法。

主 题 词:FPGA 步进电机 自动聚焦 聚焦评价函数 

学科分类:0810[工学-土木类] 08[工学] 081001[081001] 0822[工学-核工程类] 

D O I:10.16157/j.issn.0258-7998.2008.05.006

馆 藏 号:203455822...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分