看过本文的还看了

相关文献

该作者的其他文献

文献详情 >Wide Operational Range Processor Po... 收藏
Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing

Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing

作     者:Xin He Gui-Hai Yan Yin-He Han Xiao-Wei Li 

作者机构:State Key Laboratory of Computer Architecture Institute of Computing Technology Chinese Academy of Sciences Beijing 100190 China University of Chinese Academy of Sciences Beijing 100049 China 

基  金:This work is supported by the National Natural Science Foundation of China under Grant Nos. 61572470  61532017  61522406  61432017  61376043  and 61221062 

出 版 物:《Journal of Computer Science & Technology》 (计算机科学技术学报(英文版))

年 卷 期:2016年第31卷第2期

页      码:253-266页

摘      要:The load power range of modern processors is greatly enlarged because many advanced power management techniques are employed, such as dynamic voltage frequency scaling, Turbo Boosting, and near-threshold voltage (NTV) technologies. However, because the efficiency of power delivery varies greatly with different load conditions, conventional power delivery designs cannot maintain high efficiency over the entire voltage spectrum, and the gained power saving may be offset by power loss in power delivery. We propose SuperRange, a wide operational range power delivery unit. SuperRange complements the power delivery capability of on-chip voltage regulator and off-chip voltage regulator. On top of SuperRange, we analyze its power conversion characteristics and propose a voltage regulator (VR) aware power management algorithm. Moreover, as more and more cores have been integrated on a singe chip, multiple SuperRange units can serve as basic building blocks to build, in a highly scalable way, more powerful power delivery subsystem with larger power capacity. Experimental results show SuperRange unit offers lx and 1.3x higher power conversion efficiency (PCE) than other two conventional power delivery schemes at NTV region and exhibits an average 70% PCE over entire operational range. It also exhibits superior resilience to power-constrained systems.

主 题 词:voltage regulator power delivery near-threshold computing multicore processor 

学科分类:0810[工学-土木类] 0808[工学-自动化类] 080802[080802] 08[工学] 0835[0835] 081001[081001] 0701[理学-数学类] 0811[工学-水利类] 0812[工学-测绘类] 

核心收录:

D O I:10.1007/s11390-016-1625-7

馆 藏 号:203690225...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分