看过本文的还看了

相关文献

该作者的其他文献

文献详情 >基于FPGA的篮球计时计分器的设计与实现 收藏
基于FPGA的篮球计时计分器的设计与实现

基于FPGA的篮球计时计分器的设计与实现

作     者:唐庭龙 陆酉 Tang Tinglong;Lu You

作者机构:三峡大学计算机与信息学院湖北宜昌443002 武汉供电公司武汉430064 

出 版 物:《三峡大学学报(自然科学版)》 (Journal of China Three Gorges University:Natural Sciences)

年 卷 期:2010年第32卷第6期

页      码:80-83页

摘      要:提出了基于FPGA(Field Programmable Gate Array)的篮球计时计分器的设计方案,并使用Quartus Ⅱ软件和VHDL语言(Very High Speed Integrated Circuit Hardware Description Lan-guage)实现了相关设计.采用计数的方法设计了计时器模块、计分器模块,结合7段数码管动态显示原理及1602液晶控制方法设计了显示模块.经过仿真及下载验证得到以下结果:计时器完成了篮球比赛4节12 min的倒计时,一次进攻时间24s的计时;计分器实现了甲乙两队加分,分数调整的功能;显示部分完成了时间、比分的显示等.以上结果符合设计要求.

主 题 词:篮球计时计分器 FPGA VHDL 

学科分类:081203[081203] 08[工学] 0835[0835] 0812[工学-测绘类] 

D O I:10.3969/j.issn.1672-948X.2010.06.019

馆 藏 号:203711428...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分