看过本文的还看了

相关文献

该作者的其他文献

文献详情 >基于FPGA的8通道高精度TDC技术 收藏
基于FPGA的8通道高精度TDC技术

基于FPGA的8通道高精度TDC技术

作     者:张孟翟 王华闯 Zhang Mengdi;Wang Huachuang

作者机构:中国科学院光电技术研究所空天光电技术事业部四川成都610209 中国科学院大学北京100049 

出 版 物:《激光与光电子学进展》 (Laser & Optoelectronics Progress)

年 卷 期:2020年第57卷第13期

页      码:152-157页

摘      要:高精度脉冲式激光测距的精度与时间数字转换器(TDC)的精度密切相关,基于现场可编程门阵列(FPGA)的多通道TDC可有效降低系统的复杂度、提高测量效率。利用Xilinx Kintex-7系列内的CARRY4模块构造延迟链作为细计数,用25位200 M的系统时钟进行粗计数,采用粗细结合的方式,在FPGA芯片内设计并验证了8通道高精度TDC。针对延迟单元的超前进位特性及其受温度电压影响的非线性时延,利用码密度测试法和在线校准法进行校准。实验结果表明,设计的8通道TDC分辨率小于35 ps,精度为36.8 ps,误差峰峰值为157.2 ps,量程为167.77 ms。

主 题 词:时间数字转换器 CARRY4 现场可编程门阵列(FPGA) 延迟链 码密度测试法 

学科分类:0808[工学-自动化类] 0809[工学-计算机类] 08[工学] 080401[080401] 0804[工学-材料学] 081102[081102] 0811[工学-水利类] 0702[理学-物理学类] 

核心收录:

D O I:10.3788/LOP57.131203

馆 藏 号:203969273...

读者评论 与其他读者分享你的观点

用户名:未登录
我的评分