限定检索结果

检索条件"主题词=浮点加法器"
20 条 记 录,以下是1-10 订阅
视图:
排序:
浮点加法器的低功耗结构设计
收藏 引用
《微电子学》2002年 第2期32卷 128-130,135页
作者:高海霞 杨银堂西安电子科技大学微电子研究所陕西西安710071 
浮点加法器是集成电路数据通道中重要的单元 ,它的性能和功耗极大地影响着处理器和数字信号处理器的性能。文章分析了浮点加法器的几种结构 ,重点介绍了实现低功耗的三数据通道结构。最后 。
来源:详细信息评论
并行浮点加法器架构与核心算法的研究
收藏 引用
《计算机工程与应用》2006年 第17期42卷 53-55,75页
作者:陈弦 张伟功 于伦正西安微电子技术研究所西安710054 
考虑到浮点运算在图形处理中的重要作用,依据速度和面积的优化原理,文章从两个方面对FAU结构中最复杂的双精度浮点加法进行了研究。其一:在结构上采用了三条相互并行的主线,设计了一种尽可能并行处理的三级浮点流水结构,极大地提高了运...
来源:详细信息评论
DSP芯片中浮点加法器LOD电路的设计
收藏 引用
《微电子学与计算机》2003年 第4期20卷 60-62,65页
作者:车德亮 黄士坦 刘军华 唐威 段来仓西安微电子技术研究所西安710054 
DSP芯片中浮点加法器的速度制约着整个芯片的工作速度,浮点加法器中LOD电路的速度又是浮点加法器工作速度的瓶颈。因此,我们可以通过对LOD电路的改进,来提高整个DSP芯片的工作性能。我们从LOD的组成结构和逻辑两个方面进行设计,实现了...
来源:详细信息评论
基于FPGA的32位浮点加法器的设计
收藏 引用
《微电子学与计算机》2008年 第6期25卷 209-211页
作者:吉伟 黄巾 杨靓 黄士坦西安微电子技术研究所陕西西安710075 
在综合分析各种浮点加法器算法的基础上,提出了一种符合TI格式标准的32位浮点加法器,同时兼顾了速度和面积两方面因素.本设计在virtex-4系列FPGA上进行了实现,最高速度可达到182.415MHz,资源占用也较为合理.
来源:详细信息评论
一种快速浮点加法器的设计与优化方法
收藏 引用
《微电子学与计算机》2004年 第12期21卷 210-213页
作者:刘哲 付宇卓上海交通大学微电子学院上海200030 
本文提出了一种快速单精度浮点加法器的设计方法,重点介绍了该浮点加法器所采用的各种优化技术,如双数据通道划分、3级流水线结构、PN编码、简化的四舍五入模式及并行前缀加法器等,使得该浮点加法器的频率能够达到300MHz,能在高性能浮点...
来源:详细信息评论
浮点加法器的VHDL算法设计
收藏 引用
《现代电子技术》2003年 第4期26卷 46-48页
作者:吴琼 肖梓祥解放军信息工程大学计算机系河南郑州450002 
浮点加法器的算法设计和结构映射为例,讨论了如何进行面向对象的ASIC系统的设计,并给出浮点加法器部分模块的VHDL描述。
来源:详细信息评论
基于流水线结构的浮点加法器IP核设计
收藏 引用
《微计算机信息》2008年 第27期24卷 192-193页
作者:夏杰 宣志斌 薛忠杰江南大学信息工程学院无锡214122 中国电子科技集团第58研究所无锡214035 
浮点加法运算是浮点运算中使用频率最高的一种运算,本文采用了五级加法器流水线结构,并使用Verilog HDL硬件描述语言对其进行编码。利在使用SMIC 0.18um CMOS工艺库进行综合,工作频率能达到500MHz。
来源:详细信息评论
浮点加法器电路设计算法的研究
收藏 引用
《计算机工程与应用》2001年 第13期37卷 10-12页
作者:夏宏 吴克河 李占才北京科技大学信息学院北京100083 
介绍了浮点加法器电路设计的基本算法,阐述了近年来有关浮点加法器电路设计算法研究的成果。对目前所普遍采用的Two-Path算法及其改进算法进行了详细地分析。描述了快速规格化的关键技术——前导1的预判的基本原理。最后提出...
来源:详细信息评论
高速流水线浮点加法器的FPGA实现
收藏 引用
《电子元器件应用》2009年 第4期11卷 62-65页
作者:王晓莉 黄伟 王典洪中国地质大学机械与电子信息学院湖北武汉430074 
浮点加法运算是现代数字信号处理中非常频繁的操作算法。文中结合VerologHDL和FPGA可编程技术来完成流水线结构进而实现符合IEEE754标准的单精度浮点加法器的设计方法。通过仿真验证,该设计运算精度可达10-7,而且设计结构合理,可用于...
来源:详细信息评论
基于FPGA的高速浮点加法器的实现
收藏 引用
《科学技术与工程》2010年 第25期10卷 6293-6296页
作者:王秀芳 侯振龙 曲萃萃东北石油大学电气信息工程学院大庆163318 黑龙江省油田控制与信息工程重点实验室大庆163318 
为降低设计成本、缩短设计周期、提高可移植性,设计并实现了基于CycloneIII型FPGA单精度32位浮点加法器。该加法器采用VHDL语言描述,流水线结构,符合IEEE754单精度浮点表示格式和存储格式。经过QuartusII、MATLAB和Model-SimSE进行联合...
来源:详细信息评论
聚类工具 回到顶部