限定检索结果

检索条件"主题词=竞争-冒险"
7 条 记 录,以下是1-10 订阅
视图:
排序:
数字电路中“竞争-冒险”问题的教学设计
收藏 引用
《东莞理工学院学报》2015年 第3期22卷 117-121页
作者:杨杰 卢贵主东莞理工学院电子工程学院广东东莞523808 
"竞争-冒险"是数字电路中一个重要的知识点。由于"竞争-冒险"所引发的干扰信号存在时间极短,如果用传统的"静态"方式进行课堂教学,往往力不从心。为此,设计了一套全新的讲课方案,以一个实例为主线,借助于...
来源:详细信息评论
组合逻辑电路中的竞争-冒险现象研究
收藏 引用
《牡丹江师范学院学报(自然科学版)》2014年 第4期40卷 19-20页
作者:方振国 李群 杨一军淮北师范大学物理与电子信息学院安徽淮北235000 
针对组合逻辑电路中普遍存在的竞争-冒险问题,合理引入冗余项消除存在的竞争-冒险方法 .经Multisim仿真测试,该方法优化的组合逻辑电路可以有效消除系统中的竞争冒险问题,证明该设计方法有效、优越.
来源:详细信息评论
CPLD应用中计数器竞争-冒险现象的一种消除方法
收藏 引用
《陕西工学院学报》2003年 第2期19卷 34-35页
作者:王春侠陕西理工学院电气工程与自动化系陕西汉中723003 
 针对CPLD应用中计数器存在竞争 冒险现象的特点,为了能在基于CPLD的时序系统的设计中正确地、方便地使用计数器的输出,提出了一种消除CPLD计数器输出竞争-冒险现象的简便方法。仿真结果表明了该方法的有效性。
来源:详细信息评论
基于Multisim的组合逻辑电路竞争-冒险现象分析与消除
收藏 引用
《科教导刊》2019年 第25期 33-34页
作者:丁淑妍 李世宝 刘建航中国石油大学(华东) 
结合电路实例,分析组合逻辑电路产生竞争-冒险现象的原因,并采用修改逻辑设计和引入滤波电容两种常用方法消除竞争-冒险现象。利用Multisim仿真软件中的虚拟元件搭建电路图,仿真结果直观清晰展示了竞争-冒险现象产生的时刻和消除电路的...
来源:详细信息评论
EDA技术在竞争-冒险现象教学中的应用
收藏 引用
《福建电脑》2008年 第12期24卷 198-198,167页
作者:韩芳 张亚淮南师范学院物理与电子信息系安徽淮南232001 常州信息职业技术学院江苏常州213164 
在"数字电路"课程的教学中,引入EDA技术,利用EDA工具Quartus II中的原理图设计和时序波形仿真,在课堂上结合电教化教学手段,通过理论基础分析和电路波形仿真结果两个方面的内容,分析组合逻辑电路和时序逻辑电路工作状态转换...
来源:详细信息评论
基于FPGA的时序脉冲发生器设计
收藏 引用
《九江职业技术学院学报》2012年 第2期 17-19,6页
作者:颜丽 王伟萍乡高等专科学校江西萍乡337000 九江职业技术学院江西九江332007 
本文在实现时序脉冲发生器的设计中,利用现场可编程门阵列改变了传统设计方法,进一步方便了对硬件的修改与调试。通过综合分析采用标准二进制计数器的时序脉冲发生器的工作原理,针对采用标准二进制计数器的时序脉冲发生器所产生的"...
来源:详细信息评论
应用软件消除毛刺现象
收藏 引用
《重庆工业高等专科学校学报》2004年 第1期19卷 49-50页
作者:王文明江苏广播电视大学宜兴学院江苏宜兴214206 
由于竞争而产生的毛刺会破坏数字系统的设计,因此必须避免。传统的解决方法有其不足之处。随着可编程器件的广泛应用和EDA技术的飞速发展,通过在线编程就可消除毛刺现象,并最终获得稳定合理的设计。以Altera公司的MaxplusⅡ为开发平台,...
来源:详细信息评论
聚类工具 回到顶部