限定检索结果

检索条件"主题词=等精度测量"
34 条 记 录,以下是11-20 订阅
视图:
排序:
基于FPGA的精度多通道数字频率计
收藏 引用
《电子技术(上海)》2020年 第2期49卷 28-31页
作者:刘源 张磊 徐叔喜 汪健中国兵器214研究所苏州研究中心江苏215163 
针对早期的频率计采用分立元件设计中周期长、稳定性差,并且成品体积大、功耗高,提出了一种以现场可编程逻辑门阵列FPGA为核心,基于等精度测量频率的原理,利用Verilog硬件描述语言设计实现了频率计功能。采用MPC5634单片机与FPGA通信,...
来源:详细信息评论
精度数字频率计的设计
收藏 引用
《重庆师范大学学报(自然科学版)》1999年 第S1期18卷 66-68,85页
作者:邓树申 胡先权重庆师范学院物理学与信息技术系重庆400047 
运用等精度测量原理,结合单片机技术设计了一种数字式频率计,由于采用了屏蔽驱动电路及数字均值滤波技术措施,因而能在较宽的频率范围和幅度范围内对频率、周期、脉宽、占空比参数进行测量,并可通过调整闸门时间预置测量精度.
来源:详细信息评论
精度频率计的研究与设计
收藏 引用
《中国新技术新产品》2011年 第24期 1-2页
作者:吴小所 冯海兰州交通大学甘肃兰州730070 兰州理工大学技术工程学院甘肃兰州730050 
采用精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,概述了EDA软件平台QUAR TUSⅡ;然后介绍了频率测量的一般原理,...
来源:详细信息评论
基于STM32单片机的脉冲信号参数高精度测试仪
收藏 引用
《科技资讯》2017年 第3期15卷 27-28页
作者:张一荻东南大学吴健雄学院江苏南京211189 
设计了以STM32单片机为核心的脉冲信号参数测试仪,可测量脉冲信号的幅度、频率、占空比、上升时间参数。仪器利用STM32增强型单片机的高速、高精度运算能力,可实现脉冲信号参数的高速精密采样;采用的精度测量一系列措施,有效提高了...
来源:详细信息评论
精度多功能时间校验仪的研究与设计
收藏 引用
《四川理工学院学报(自然科学版)》2009年 第5期22卷 89-92页
作者:李启丙四川建筑职业技术学院四川德阳618000 
精度多功能时间校验仪是利用高精度GPS授时模块产生标准信号来驯服本地的压控恒温晶振,保证给系统提供高精度、高稳定度的时钟信号。在测量的方法上采用等精度测量法消除±1的测量误差,利用时间间隔测量法提高测量精度。同时选用...
来源:详细信息评论
基于单片机的一种高精度脉冲信号测试仪
收藏 引用
《科技创新与应用》2020年 第10期10卷 31-32页
作者:王中源 张金龙南京师范大学电气与自动化工程学院江苏南京210046 
设计了一种高精度脉冲信号测试仪,可高效测量脉冲信号的一系列参数。充分利用STM32单片机的性能优势,采用等精度测量方法,切实改善了测量效率与质量。由实验所得数据表明,该测试仪具有较高精密度和较低误差率。可运用于电子信号测试,...
来源:详细信息评论
基于门替换技术的电路老化检测预防系统设计与实现
收藏 引用
《现代电子技术》2018年 第5期41卷 120-123,128页
作者:周瑞云 梁华国 易茂祥合肥工业大学电子科学与应用物理学院安徽合肥230009 
采用传统检测系统存在电路老化数据收集不准确、检测效果差问题,为了解决该问题,设计了基于门替换技术的电路老化检测预防系统。根据电路老化检测预防原理,架构系统硬件结构框图,并对传感器和即压控振荡器电路进行设计;利用精度测...
来源:详细信息评论
频率测量单片集成电路的研究
收藏 引用
《半导体技术》2008年 第9期33卷 836-839页
作者:任怀龙 陈兴 默立冬 廖斌 吴洪江中国电子科技集团公司第十三研究所石家庄050051 
介绍了精度测频的基本原理,以此为基础,设计了一种用于测量射频信号频率的单片集成电路。着重阐述了频率测量单片集成电路的构成和高频信号转换电路的设计。该电路芯片在0.18μm CMOS标准工艺线上完成了制作,封装于CQFP48中。经...
来源:详细信息评论
基于FPGA和自动增益控制技术的宽带数字频率计
收藏 引用
《仪表技术与传感器》2017年 第12期 63-67页
作者:陈彭鑫 仲思东武汉大学电子信息学院湖北武汉430079 测绘遥感信息工程国家重点实验室湖北武汉430079 
设计了一种基于FPGA和自动增益控制技术(AGC)的新型高精度频率测量系统。AGC电路会根据输入信号的幅度值自动调整电路增益,使输出信号稳定在特定值附近。通过等精度测量方法,实现双路正弦波或方波的频率、相位差、占空比要素的同步测...
来源:详细信息评论
基于FPGA的数字频率计设计
收藏 引用
《中国水运》2015年 第11期 56-57页
作者:周嘉腾 胡佳文浙江海洋学院 
利用FPGA的等精度测量原理设计数字频率计,可实现1HZ-100MHZ信号频率的精度频率测量并增加测量周期、时间间隔,占空比的功能。本设计以Altera FPGA系列DE0-Nano Cyclone IV器件为核心,运用V HDL语言设计功能模块,进行信号的控制、数...
来源:详细信息评论
聚类工具 回到顶部