限定检索结果

检索条件"主题词=超前进位"
19 条 记 录,以下是11-20 订阅
视图:
排序:
一种千万门FPGA芯片中DSP硬核的设计
收藏 引用
《微电子学》2018年 第4期48卷 485-490页
作者:李正杰 张英成都华微电子科技有限公司成都610041 
提出了一种千万门FPGA芯片中DSP硬核的设计。基于SMIC 65nm CMOS工艺,以全定制技术设计实现了一个高性能的DSP硬核。DSP硬核主要包括输入输出逻辑、乘法器、XYZ选择器和模式控制单元、加法器等部分。为了提高DSP硬核的速度、面积和功耗...
来源:详细信息评论
基于FPGA的算术逻辑单元设计
收藏 引用
《现代电子技术》2003年 第20期26卷 96-98页
作者:宋泽明 陈文楷北京工业大学电子信息与控制工程学院北京100022 
介绍了一种使用可编程逻辑器件 FPGA和 VHDL 语言进行 AL U设计的方法。并在加法器模块的设计中使用了超前进位的方法。使得所设计的 AL U具有很好的稳定性和较高的速度。
来源:详细信息评论
FPGA快速进位链设计
收藏 引用
《常熟理工学院学报》2007年 第8期21卷 75-79页
作者:张惠国 王文锋 于宗光常熟理工学院教育技术中心江苏常熟215500 西安电子科技大学微电子学院陕西西安710071 中国电子科技集团公司第58所江苏无锡214035 
研究了FPGA中超前进位链和快速进位链的设计与实现,利用仿真结果比较了两者性能,介绍了FPGA快速进位链的设计思想并给出了具体设计。
来源:详细信息评论
专用进位链优化设计
收藏 引用
《贵州大学学报(自然科学版)》2007年 第4期24卷 385-389页
作者:龙飞 刘桥贵州大学计算机科学与工程学院贵州贵阳550025 
作者提出了一种适合FPGA高效运算的专用进位链结构。基于应用范围方面的考虑,作者先对典型的行波进位做了一定的改进,目的是增强逻辑模块的功能实现能力和提高运算速度。提出进位链设计的策略,设计一种基于高效加法器像选择进位超前...
来源:详细信息评论
基于流水线的复数阵列加法器的设计与实现
收藏 引用
《科学技术与工程》2007年 第12期7卷 2863-2866,2871页
作者:马龙龙 李云 冯增喜西北工业大学西安710065 
复数加法运算复杂,用硬件实现复数加法,需要使用数目众多的加法器,占用大量的面积。通过分析复数加法的运算过程,将计算过程流水化,对各加法器进行有效的复用,设计了一个阵列加法器的电路结构实现其功能,并将其用Verilog硬件设计语言描...
来源:详细信息评论
并行加法器的研究与设计
收藏 引用
《晋中师范高等专科学校学报》2003年 第4期20卷 330-334页
作者:安印龙 许琪 杨银堂航天部七七一所陕西西安710054 西安电子科技大学陕西西安710071 
首先介绍了常用并行加法器的设计方法,并在此基础上采用带进位强度的跳跃进位算法,通过逻辑综合和布局布线设计出了一个加法器。分析和比较表明,该加法器不仅速度快于超前进位加法器,而且面积和功耗均小于超前进位加法器。
来源:详细信息评论
基于功能复用的高性能ALU设计
收藏 引用
《信息技术》2010年 第3期34卷 58-60,63页
作者:张嘉琛 蒋剑飞 毛志刚上海交通大学微电子学院上海200240 
算术逻辑单元(ALU)是处理器中不可或缺的重要部分,可以进行两输入逻辑和加减法运算。设计了一款通用数字信号处理器中使用的高性能ALU。提出了一种高效的逻辑与算术运算复用的电路结构,提高复用度的同时,减少了ALU的面积。并提出一种融...
来源:详细信息评论
基于IEEE754浮点数的快速反码加法器设计
收藏 引用
《嘉应学院学报》2007年 第6期25卷 83-87页
作者:李澄举嘉应学院 计算机科学与技术系广东梅州514015 
由于IEEE754标准的浮点数在计算机中是以原码的格式存储的,为了将浮点运算的结果转换成原码,最快的方法是使用反码运算系统。试应用超前进位和反码运算系统原理设计了单精度浮点数的快速的阶码减法器和尾数加法器/减法器。
来源:详细信息评论
基于选择进位32位加法器的硬件电路实现
收藏 引用
《电子设计工程》2013年 第17期21卷 144-146页
作者:高建卫北京外企徳科人力资源服务上海有限公司上海200335 
为了缩短加法电路运行时间,提高FPGA运行效率,利用选择进位算法和差额分组算法用硬件电路实现32位加法器,差额分组中的加法单元是利用一种改进的超前进位算法实现,选择进位算法可使不同的分组单元并行运算,利用低位的运算结果选择高位...
来源:详细信息评论
聚类工具 回到顶部