限定检索结果

检索条件"主题词=SERDES"
91 条 记 录,以下是1-10 订阅
视图:
排序:
56 Gbit/s低功耗分数间隔FFE PAM4 serdes发射机设计
收藏 引用
《微电子学》2024年 第2期54卷 235-242页
作者:王新武 张长春 张翼 王静南京邮电大学集成电路科学与工程学院南京210023 东南大学毫米波国家重点实验室南京210096 
采用65 nm CMOS工艺设计了一款用于高速芯片互联的四电平脉冲幅度调制(PAM4) serdes发射机。该发射机主要由最高有效位通道和最低有效位通道、时钟产生路径、前馈均衡模块、接口驱动电路等构成。采用一种无锁存的并串转换技术,以降低功...
来源:详细信息评论
A Low Jitter Design of Ring Oscillators in 1.25GHz serdes
收藏 引用
《Journal of Semiconductors》2008年 第3期29卷 490-496页
作者:肖磊 刘玮 杨莲兴复旦大学专用集成电路与系统国家重点实验室上海201203 
A new configuration for delay cells used in voltage controlled oscillators is presented. A jitter comparison between the source-coupled differential delay cell and the proposed CMOS inverter based delay cell is given....
来源:详细信息评论
一种应用于软件定义互连系统的多协议serdes电路
收藏 引用
《电子学报》2021年 第4期49卷 817-823页
作者:李沛杰 沈剑良 苑红晓 王永胜 夏云飞 张传波中国人民解放军战略支援部队信息工程大学河南郑州450002 32125部队山东济南250100 天津市滨海新区信息技术创新中心天津300457 
为满足片上系统的柔性互连,提出一种应用于软件定义互连系统的1.0625~10.3125Gbps多协议serdes电路结构.该电路采用统一架构实现不同协议的规范需求,通过一种1×QPLL+4×Lane PLL的时钟结构实现宽频点和低抖动的时钟输出,通过...
来源:详细信息评论
基于serdes系统芯片边界扫描测试设计与电路实现
收藏 引用
《南京邮电大学学报(自然科学版)》2018年 第1期38卷 91-97页
作者:代鸣扬 蔡志匡 陈冬明 郭宇锋南京邮电大学电子与光学工程学院射频集成与微组装技术国家地方联合工程实验室江苏南京210023 
基于IP的So C设计能够有效提高设计效率,降低成本,是当前超大规模集成电路设计的主流解决方案。Ser Des作为一种复杂数模混合IP,可实现高速数据的接收与发送。文中针对So C芯片中Ser Des的PAD测试问题,提供两种改进的边界扫描测试技术,...
来源:详细信息评论
PCI Express中2.5Gbps高速serdes的设计与实现
收藏 引用
《计算机工程与科学》2009年 第11期31卷 62-65,120页
作者:王堃 许文强 马卓海军驻西安导弹设备军事代表室陕西西安710065 西安微电子技术研究所陕西西安710065 国防科技大学计算机学院湖南长沙410073 
PCI Express是当前广泛应用的高速串行传输标准,其V1.0版本提供2.5Gbps的高速传输带宽。对于高速串行传输而言,精确的发送定时与接收同步是其关键技术。本文在详细分析PCI Express物理层技术的基础上,特别针对串行接收端的数据时钟恢复...
来源:详细信息评论
基于serdes的甚短距离光传输链路层协议的设计与实现
收藏 引用
《光通信技术》2007年 第10期31卷 59-61页
作者:丁亮 周东 李磊电子科技大学成都610054 中国科学院深圳先进技术研究院广东深圳518067 
针对板间或芯片间的甚短距离光传输系统,采用serdes作为物理层,设计了一种单路速率达2Gb/s的串行点对点传输协议,可以在板间或不同IP间,通过光互连提供高速数据传输。详细叙述了设计光互连系统所需的轻量级数据链路层协议的过程和要素...
来源:详细信息评论
一种基于serdes总线的机载雷达波控系统设计
收藏 引用
《电子测量技术》2021年 第11期44卷 33-38页
作者:胥志毅 徐弘毅 邬天恺 郭敏敏南京电子技术研究所南京210039 
波控系统是相控阵雷达天线的一个重要的子系统。相控阵天线的波束合成、捷变以及赋形功能都需要由波控系统调度控制完成。传统波控系统由波控分机与波控单元的独立分级和模块组成,不能满足机载雷达空间尺寸小、速率要求高以及线缆布局...
来源:详细信息评论
基于EPON的serdes差分信号完整性分析设计
收藏 引用
《光通信技术》2013年 第9期37卷 59-62页
作者:倪芸 金鑫 姚晓东华东理工大学信息科学与工程学院上海200237 
为保证serdes接口的差分信号完整性,在高速PCB硬件设计阶段,采用合理的差分传输架构并融入信号完整性分析和仿真技术。设计了EPON光模块LTB3421和TK3713之间的serdes差分硬件电路,借助Cadence仿真工具,仿真分析差分传输中的共模串扰噪...
来源:详细信息评论
Design of a New Serializer and Deserializer Architecture for On-Chip serdes Transceivers
收藏 引用
《Circuits and Systems》2015年 第3期6卷 81-92页
作者:Nivedita Jaiswal Radheshyam GamadDepartment of Electronics and Instrumentation Engineering Shri G. S. Institute of Technology and Science Indore India 
The increasing trends in SoCs and SiPs technologies demand integration of large numbers of buses and metal tracks for interconnections. On-Chip serdes Transceiver is a promising solution which can reduce the number of...
来源:详细信息评论
10GB/s高速serdes电路的MUX/DEMUX设计
收藏 引用
《微电子学与计算机》2007年 第12期24卷 174-176页
作者:马鸿开 陈岚 刘力轲中国科学院计算技术研究所国家智能计算机研究开发中心 中国科学院计算技术研究所计算机系统结构重点实验室北京100080 中国科学院研究生院北京100039 
介绍了一种适用于高速串并转换电路(serdes)的MUX/DEMUX,采用0.18μmCMOS工艺,数据传输速率达到10GB/s。该电路主要由锁存器、选择器和时钟分频器3个模块组成,采用1.8V电压供电,MUX和DEMUX功耗分别为132mW和64mW。
来源:详细信息评论
聚类工具 回到顶部