限定检索结果

检索条件"主题词=xilinx"
379 条 记 录,以下是221-230 订阅
视图:
排序:
Markov-Middleton脉冲噪声模型的硬件实现方法
收藏 引用
《电力系统自动化》2019年 第16期43卷 168-176页
作者:王毅 胡学涛 侯兴哲 叶君 郑可 李松浓重庆邮电大学通信与信息工程学院重庆市400067 国网重庆市电力公司电力科学研究院重庆市401123 国网重庆市电力公司博士后科研工作站重庆市401123 
影响宽带电力线载波通信的关键因素之一是随机突发的脉冲噪声。目前的噪声研究大多停留在理论建模上,缺乏标准化的电力线噪声硬件实现方法。文中深入研究Markov-Middleton脉冲噪声模型,分析产生Markov性质的脉冲序列原理,利用System Gen...
来源:详细信息评论
基于FPGA的DDC设计与功能实现
收藏 引用
《自动化与仪器仪表》2018年 第9期 70-72页
作者:许丹咸阳职业技术学院 
DDC(Digital Down Convert)即数字下变频器技术是无线电接收机的核心点之一。数字下变频是把中频信号数字下变频至零中频且使信号速率降至适宜通用DSP器件处理速率的技术。目前来说,专用数字下变频的芯片价格过高,而定制性不高,因此...
来源:详细信息评论
10/100/1000Mbps以太网MAC的设计
收藏 引用
《电子元器件应用》2009年 第3期11卷 62-65页
作者:魏盛铭 黄世震福州大学福建省微电子集成电路重点实验室福建福州350002 
随着1000Mbps以太网和全双工通信技术的发展与成熟,以太网也向着更高速和高效的方向发展。文中通过xilinx的开发平台及配套硬件来设计10/100/1000以太网MAC,同时采用自顶向下的方法划分和设计模块,最后利用StateCAD进行状态机的设计,从...
来源:详细信息评论
开放源码硬件是源头创新的利器
收藏 引用
《电子设计技术 EDN CHINA》2008年 第6期15卷 141-141,142页
作者:丛秋波 
前不久,由中国电子学会、赛灵思(xilinx)公司主办的首届“xilinx杯中国高校开放源码硬件创新大赛”的总决赛于无锡新区圆满闭幕。“首届开放源码硬件大赛参赛者之多,覆盖范围之广,项目设计领域之丰富和创新,以及来自行业协会,IC基地...
来源:详细信息评论
以QAM系统设计为例——介绍在FPGA中实现DSP算法
收藏 引用
《电子测试(新电子)》2005年 第5期 32-34页
作者:Sabine LamXilinx公司 
FPGA 可以更好的实现超快速 DSP 应用,但将两种技术结合却是一种挑战,因为 DSP 设计者主要使用 MathWorks MATLAB 或 C/C++来定义系统,而 FPGA 设计者使用 VHDL 或 Verilog。两者之间唯一的共同点就是通常都以框图来开始设计。本文以 Q...
来源:详细信息评论
HDL设计和验证与System Generator相结合
收藏 引用
《中国电子商情》2008年 第8期 65-66页
作者:Justin Delva Ben Chan Shay Seng LundgrenXilinx公司 
xilinx System Generator for DSP是用来协助系统设计的MATLAB Simulink模块集。System Generator for DSP在熟悉的MATLAB环境中引入xilinx FPGA对象,让您能够对设计进行功能仿真,并且使用MATLAB环境对照理想参考结果验证位精度和时序...
来源:详细信息评论
PCMCIA-AHB桥的设计与验证
收藏 引用
《单片机与嵌入式系统应用》2005年 第10期5卷 30-34页
作者:邹杨 王普 方穗明 林平分北京工业大学 
首先简要介绍AMBA总线协议(包括AHB、APB两种总线)和PCMCIA的结构及传递数据的特点,然后详细阐述设计PCMCIAAHB桥的目的、功能、设计思路、遇到的问题及解决方案,最后简单介绍PCMCIAAHB桥的一种综合验证方案。本设计已经通过了xilinxISE...
来源:详细信息评论
多通道EOPDH/EOS网桥芯片的FPGA设计
收藏 引用
《中国集成电路》2011年 第11期20卷 39-43页
作者:刘宇西安深亚电子有限公司陕西西安710061 
本文介绍了一种EOPDH/EOS网桥芯片的FPGA设计,具体说明了本网桥芯片的FPGA电路设计。将许多复杂的功能集中在FPGA上实现,既可以使得设计目标快速产品化,并可以根据市场要求的变化及时修改电路设计,大大体现出了采用FPGA设计的及时性和...
来源:详细信息评论
一种基于xilinxIP核的并行架构内插滤波器实现
收藏 引用
《信息通信》2015年 第4期28卷 63-64页
作者:贾一凡 吴兵 顾何方中国电子科技集团公司第三十八研究所安徽合肥230088 
文章详细介绍了一种可应用于高速信号处理中的并行内插滤波器设计,涵盖了低通及带通内插滤波器的设计原理、多路并行滤波器设计方法以及基于xilinx FIR IP核的设计实现,在高速数据信号处理领域有一定的借鉴价值。
来源:详细信息评论
Mentor FPGA开发工具
收藏 引用
《电子产品世界》2007年 第6期14卷 46-46,48,50页
作者:秦贤智Mentor Graphics 
FPGA厂商一般都提供集成的开发环境,如Altera QuartusⅡ和xilinx ISE,基本上可以完成所有的设计输入(原理图或HDL)、仿真、综合、布线和下载等工作。初期的用户较多采用它们,但它们在设计仿真和逻辑综合方面不够理想,因此一般都...
来源:详细信息评论
聚类工具 回到顶部